Intel hat die Montage des High-NA EUV-Scanners abgeschlossen: entscheidend für den 14A-Prozess

Während ASML damit begonnen hat, Teile für einen zweiten Lithografiescanner an einen nicht genannten Kunden zu versenden, Intel Foundry hat Montage abgeschlossen des ersten lithografischen Scanners Hohe numerische Apertur (hohe NA) Extremes Ultraviolett (EUV) Werbespot am Forschungs- und Entwicklungsstandort in Hillsboro, Oregon.

Das TWINSCAN EXE:5000-System war in mehr als 250 Kisten in 43 Containern nach Oregon transportiert. Diese wurden in mehrere Frachtflugzeuge verladen, die in Seattle landeten. Anschließend wurden sie für die Reise nach Oregon auf 20 Lastwagen verladen. Das Gesamtgewicht jeder neuen Anlage beträgt über 150 Tonnen.

Intel Foundry ist die Abteilung des amerikanischen Riesen, die sich mit der Herstellung von Chips mit fortschrittlichen Verfahren beschäftigt. nicht nur für Intel selbst, sondern auch für Dritte: Intels Ziel ist es, ein glaubwürdiger Herausforderer für TSMC und Samsung zu werden, und hat sich dazu entschieden, sich auf eine erneuerte Roadmap und Spitzentechnologien zu konzentrieren.

Intel ist sich sicher, die Fehler der Vergangenheit nicht mehr zu machen, als er sich nach intensiver Arbeit an der EUV-Technologie aus Kostengründen entschied, sie nicht für seinen 10-Nanometer-Prozess zu verwenden. Das Unternehmen entschied sich stattdessen für die Quad-Musterung mit standardmäßigen DUV-Lithographiemaschinen (Deep Ultraviolett), die für eine einzelne Schicht vier DUV-Belichtungen anstelle nur einer EUV-Belichtung erfordern. Folglich, Hinsichtlich der Produktionsausbeute stieß Intel auf zahlreiche Schwierigkeitenwas dazu führte, dass das Unternehmen fünf Jahre zu spät den 10-nm-Prozess (umbenannt in Intel 7) einführte, was TSMC die Möglichkeit gab, ihn zu überholen (zum Vorteil von Kunden wie AMD).

High-NA-EUV dürften diese Probleme nicht haben, da die Ähnlichkeiten mit EUV-Systemen viel größer sind als erwartet und die Produktionsmengen bereits von Anfang an hoch sind. Die großen Veränderungen liegen vor allem in der Optik und dem Angebot von Zeiss. Darüber hinaus ist das System modular aufgebaut und kann schnell angepasst werden.

Intel ist davon überzeugt, dass die Einführung eines EUV-Lithographiescanners mit hoher NA zuerst einen Vorteil verschaffen wird. Das von ASML bereitgestellte TWINSCAN EXE:5000 High-NA EUV Es durchläuft jetzt die Kalibrierungsphasen um alle notwendigen Tests durchzuführen, um der von Intel gewünschten Roadmap zu entsprechen einsatzbereite High-NA EUV-Lithographie ab dem 14A-Prozessmit Tests ab 2025 im Hinblick auf eine spätere kommerzielle Einführung.

Der neue Maschinenpark ermöglicht es Ihnen Die Auflösung und Miniaturisierung der Funktionen für Prozessoren der nächsten Generation deutlich verbessernDabei wurde das Optikdesign so modifiziert, dass Bilder auf einen Siliziumwafer gedruckt werden. „Mit der Hinzufügung von High-NA EUV verfügt Intel über die umfassendste Lithografie-Toolbox der Branche, um in der zweiten Hälfte dieses Jahrzehnts zukünftige Prozessfähigkeiten über Intel 18A hinaus voranzutreiben“, sagte Mark Phillips, Intel Fellow und Direktor für Lithografie, Hardware und Lösungen von Intel Foundry Logic Technology Development.

ASML gab kürzlich bekannt, dass dies der Fall sei druckte die weltweit ersten 10-Nanometer-Linien (nm). in seinem High-NA-Labor in Veldhoven, Niederlande. Dies sind die dünnsten Linien, die jemals gedruckt wurden, und stellen eine Weltrekordauflösung für einen EUV-Lithografiescanner dar. Dies ist ein Beweis für die Gültigkeit des High-NA-EUV-Optikdesigns von Zeiss, dem Partner von ASML. Ein grundlegender Schritt hin zur kommerziellen Nutzung von High-NA EUV.

In Kombination mit den anderen Prozesstechnologiefunktionen von Intel Foundry ist dies zu erwarten High-NA EUV wird in der Lage sein, Komponenten zu drucken, die bis zu 1,7-mal kleiner sind als es mit bestehenden EUV-Werkzeugen möglich ist. Dies ermöglicht die Skalierung von 2D-Features, was zu einer bis zu 2,9-fach höheren Dichte führt. Im Vergleich zu 0,33 NA EUV bietet High-NA EUV (oder 0,55 NA EUV) einen höheren Bildkontrast bei gleichen Eigenschaften eine geringere Lichtmenge pro Belichtung und damit eine Reduzierung der zum Drucken jeder Schicht benötigten Zeit, was sich positiv auf die Ausbeute des Wafers auswirkt.

klicken um zu vergrößern

klicken um zu vergrößern

Intel plant, sowohl 0,33NA EUV als auch 0,55NA EUV zusammen mit anderen Lithographieprozessen bei der Entwicklung und Herstellung fortschrittlicher Chips zu verwenden, beginnend mit Produktprototypen auf dem Intel 18A im Jahr 2025 und anschließend mit der Produktion des Intel 14A.

Das Unternehmen wird von Pat Gelsinger geführt wird künftig das System TWINSCAN EXE:5200B der neuen Generation mit einer Produktivität von über 200 Wafern pro Stunde erwerben, und wird auch bei diesem System zum Pionier der Branche. ASML hat bereits drei Generationen von High-NA-Maschinen in der Entwicklung.

„Die EUV-Lithographie mit hoher NA ist ein Evolutionsschritt über die EUV-Lithographie hinaus nutzt eine Lichtwellenlänge (13,5 nm), die auf der Erde nicht natürlich vorkommt. Das Licht wird durch einen leistungsstarken Laser erzeugt, der auf einen Zinntropfen trifft, der auf eine Temperatur von fast 220.000 Grad Celsius erhitzt ist, fast 40-mal höher als die durchschnittliche Oberflächentemperatur der Sonne. Dieses Licht wird von einer Maske reflektiert, die ein Modell der gewünschten Schaltungsstruktur enthält, und durchläuft dann ein optisches System, das aus den genauesten Spiegeln besteht, die jemals hergestellt wurden“, erklärt Intel.

„Die numerische Apertur (NA) ist ein Maß für die Fähigkeit, Licht zu sammeln und zu fokussieren. Durch die Änderung des Designs der Optik, die zum Projizieren eines Musters auf einen Wafer verwendet wird, ermöglicht die High-NA-EUV-Technologie einen erheblichen Fortschritt bei der Auflösung und Größe von Transistoren . Um Transistoren dieser Größe herstellen zu können, sind auch neue Transistorstrukturen erforderlich und Verbesserungen in anderen Phasen des Prozesses, den Intel parallel zur Integration des ersten High-NA-EUV-Systems entwickelt“, schließt das Unternehmen mit einem Verweis auf die neuen GAAFET-Transistoren, die in RibbonFET umbenannt wurden und die wir ab Intel sehen werden 20A-Prozess.

Was die Kosten für Lithografiemaschinen der neuen Generation betrifftIntel geht davon aus, dass sie sich mit der Chipproduktion, die bereits von Anfang an auf einem guten Niveau liegt, mehr als amortisieren werden. Darüber hinaus werden die angeblichen 350-400 Millionen Dollar nicht lange so bleiben und der Maschinenpark kann aktualisiert werden, um mehrere Produktionsgenerationen abzudecken.

Tags: #14AProzess

NEXT Das Unternehmen warnt, es handele sich um Betrug